Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: Synthesis , Location: Bangalore, Karnataka

Page: 2

SoC Physical Design Engineer (All levels)

subsystems and small teams. Proficiency in synthesis, place and route (PnR), and sign-off convergence, including Static Timing...

Company: Qualcomm
Posted Date: 27 Feb 2025

Expert, Release Train Engineer

readiness by fostering a Continuous Exploration process that drives the synthesis of a Vision, a Roadmap, and Backlogs...

Posted Date: 27 Feb 2025

Business Intel Engineer I, CMT

challenges. This will require data gathering and manipulation, synthesis and modeling and problem solving. 2. Assist in the...

Company: Amazon
Posted Date: 27 Feb 2025

Expert, Release Train Engineer

Planning readiness by fostering a Continuous Exploration process that drives the synthesis of a Vision, a Roadmap, and Backlogs...

Posted Date: 27 Feb 2025

Lead Physical Design Engineer/SoC Full chip Timing Engineer

will include but not limited to: Design and Architecture understanding. Interaction with FE/DFT/Verification teams. Synthesis... design flow including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock...

Company: Intel
Posted Date: 27 Feb 2025

Procurement Delivery Centre Operation Sr. Manager

expertise in commercial and contract negotiations, strategic sourcing, cost models synthesis and supplier relationship...

Posted Date: 26 Feb 2025

MDG Technical Consultant

BRF+ BADI Implementations FPM ABAP Object Oriented Programming SAP Workflow Soft skills: Good synthesis... BRF+ BADI Implementations FPM ABAP Object Oriented Programming SAP Workflow Soft skills: Good synthesis...

Posted Date: 26 Feb 2025

Digital Engineering Manager

across multiple clock domains seamlessly and in a glitch free manner. Should be able to lead/collaborate for Logic Synthesis, Formal...

Posted Date: 26 Feb 2025

Digital Engineering Manager

across multiple clock domains seamlessly and in a glitch free manner. Should be able to lead/collaborate for Logic Synthesis, Formal...

Posted Date: 26 Feb 2025

ASIC Engineer

, logic synthesis, Knowledge of debug is a prerequisite for this role. If you have experience in at least a few of the...

Company: Nvidia
Posted Date: 26 Feb 2025

CPU Physical Design Engineer

in related field or a Bachelors Degree with at least 12 years of experience. Technical Expertise in Synthesis, Placement, CTS...

Company: Intel
Posted Date: 26 Feb 2025

Senior QA Engineer

to work on the next-generation synthesis solution technology? If the answer is yes, then come work with us! We don’t need...

Company: Siemens
Posted Date: 26 Feb 2025

Technical Lead II - VLSI PD

/STA/Synthesis/Design Checks/Signoff. etc.; leading the team to achieve results. Complete assigned tasks successfully.../SPI/I2C Microprocessor architecturec. Strong Physical Design / Circuit Design / Analog Layout Knowledged. Strong Synthesis...

Company: UST
Posted Date: 26 Feb 2025

SMTS Silicon Design Engineer ( Soc Physical verification Lead )

Design, Timing Analysis, Synthesis, Physical Verification, Power design/signoff, and mentor/coach/guide Design Engineers. The...

Posted Date: 26 Feb 2025

Associate III - VLSI PD SV

/Synthesis/Design Checks/Signoff etc. Independently analyze and complete the assigned task in the defined domain(s) successfully... architecturec. Strong knowledge in Physical Design / Circuit Design / Analog Layout d. Strong understanding of Synthesis DFT...

Company: UST
Posted Date: 26 Feb 2025

Sr. Engineer - Gen AI with 4+ years(Remote)

image synthesis, text generation, and audio generation. Conduct research and stay up-to-date with the latest advancements...

Company: PradeepIT
Posted Date: 26 Feb 2025

Principal Engineer, ASIC Development Engineering

designs Experience in Spyglass Lint/CDC checks, report analysis and signoff Experience in Synthesis using DC, timing...

Posted Date: 26 Feb 2025

MTS Silicon Design Engineer ( CPU physical design Engineer with 8+Yrs of exp)

- synthesis, floor-planning, place and route, timing closure, and signoff Understand the micro-architecture to perform... methodologies and customize recipes across various implementation steps to optimize PPA. Implement floor plan, synthesis, placement...

Posted Date: 26 Feb 2025

SMTS Silicon Design Engineer ( CPU/GPU Physical Design Lead )

abilities. KEY RESPONSIBILITIES: Own critical CPU units and drive to convergence from RTL-to-GDSII - synthesis, floor... recipes across various implementation steps to optimize PPA. Implement floor plan, synthesis, placement, CTS, Timing Closure...

Posted Date: 26 Feb 2025

SOC Design Engineer- Staff

development and timing closure is a plus. Experience in Synthesis / Understanding of timing concepts is a plus. Experience...

Company: Qualcomm
Posted Date: 25 Feb 2025