Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: Synthesis , Location: Bangalore, Karnataka

Page: 3

Principal Engineer, ASIC Development Engineering

designs Experience in Spyglass Lint/CDC checks, report analysis and signoff Experience in Synthesis using DC, timing...

Posted Date: 25 Feb 2025

Principal IB Functional Architect

environment. - Ability to effectively multi-task and manage priorities. - Strong analytical and synthesis skills...

Posted Date: 24 Feb 2025

ASIC Design Engineer - Design & Timing Constraints | 8+ years

digital design concepts (eg. clocking and async boundaries) Experience with synthesis tools (eg. Synopsys DC/DCG/FC), Verilog...

Company: Cisco Systems
Posted Date: 23 Feb 2025

Physical Design Engineer

in synthesis, place and route (PnR), and sign-off convergence, including Static Timing Analysis (STA) and sign-off optimizations...

Company: Qualcomm
Posted Date: 23 Feb 2025

PMTS Silicon Design Engineer ( CPU/GPU Physical Design Lead with 15+Yrs of exp) )

- synthesis, floor-planning, place and route, timing closure, and signoff Understand the micro-architecture to perform... methodologies and customize recipes across various implementation steps to optimize PPA. Implement floor plan, synthesis, placement...

Posted Date: 22 Feb 2025

Sr Foundational AI Researcher

-to-speech, music synthesis, image segmentation, image captioning, question answering, language models, etc. Main...

Company: Dolby
Posted Date: 22 Feb 2025

SoC RTL Design - Memory Team

and CDC checks Synthesis, Area and power optimization Developing the timing constraints (SDC) and ECO scripts. Follow the... with VCS, NCSIM, Verdi and Spyglass Experience in synthesis using Synopsys Design Compiler (DC) and developing timing...

Company: Samsung
Posted Date: 21 Feb 2025

MTS Silicon Design Engineer

: Implementing RTL to GDS2 flow Handling Floor-plan, Physical Implementation of Power-plan, Synthesis, Placement, CTS, Timing... synthesis and timing driven place and route of RTL blocks for high speed datapath and control logic applications. Experience...

Posted Date: 21 Feb 2025

Staff Software Architect

and with a spirit of synthesis, you know how to conduct a critical analysis and present the essential on a given subject in a logic...

Company: Smiths Group
Posted Date: 21 Feb 2025

Business Intelligence Engineer, FIO Analytics

including project management, data gathering and manipulation, synthesis and modeling, problem solving, and communication...

Company: Amazon
Posted Date: 21 Feb 2025

MSIP Digital Design Engineer

, CDC, low-power checks, etc.), synthesis/DFT/FV/STA. Experience with post-silicon bring-up and debug is a plus. Able...

Company: Qualcomm
Posted Date: 21 Feb 2025

SoC Physical Design Engineer

and SoC designs from RTL to GDS to create a design database that is ready for manufacturing. Physical Synthesis, Floor... planning, Place and Route, Clock Tree Synthesis with Synopsys and/or Cadence EDA tools. Multiple Power Domain analysis...

Company: Intel
Posted Date: 21 Feb 2025

Staff Software Architect

and with a spirit of synthesis, you know how to conduct a critical analysis and present the essential on a given subject in a logic...

Posted Date: 20 Feb 2025

Lead Software Engineer I

across API, Functional, UI layers of Software. Also, it enables our customers to do performance testing. data synthesis...

Company: HCLTech
Posted Date: 20 Feb 2025

Senior ASIC Design Engineer - NOC IP

, synthesis/timing clean design. You will work with architects, other designers, pre- and post-silicon verification teams..., synthesis, timing and backend teams to accomplish your tasks. What you’ll be doing: Own micro-architecture and RTL...

Company: Nvidia
Posted Date: 20 Feb 2025

User Experience Design Specialist

visits, focus groups and user days including collection and synthesis of results. Development of user interface designs...

Company: SAP
Posted Date: 20 Feb 2025

GPU Physical Design Engineer (Sr Lead/Staff)

synthesis, routing, timing optimization and closure, RC extraction, signal integrity, cross talk noise and delay analysis...

Company: Qualcomm
Posted Date: 20 Feb 2025

SMTS Silicon Design Engineer

of designs in technical presentations to peers and management Oversees Synthesis and netlist delivery that meets timing, area..., floor-planning, synthesis, timing closure, power intent, post-silicon validation Expert on Verilog RTL design...

Posted Date: 20 Feb 2025

Lead Engineer - RTL/SoC

, Verilog, SoC Integration, Digital Design Desiared: Basic synthesis/timing, Python scripting for automating task...

Company: Quest Global
Posted Date: 19 Feb 2025

DFT Design Engineer -Memory Team

mixing and scan compression planning, optimization for pattern volume for SA and TD pattern sets, scan synthesis, power...

Company: Samsung
Posted Date: 19 Feb 2025