Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: Synthesis , Location: Bangalore, Karnataka

Page: 4

ASIC Design Engineer :: RTL Design | Verilog or System Verilog | Exp - 7+ Years

Verilog programming skills Experience with simulators/synthesis/static timing constraints and related tools (e.g., VCS, DC...

Company: Cisco Systems
Posted Date: 19 Feb 2025

PMTS Silicon Design Engineer

of designs in technical presentations to peers and management Oversees Synthesis and netlist delivery that meets timing, area..., floor-planning, synthesis, timing closure, power intent, post-silicon validation Expert on Verilog RTL design...

Posted Date: 19 Feb 2025

User Experience Design Specialist

visits, focus groups and user days including collection and synthesis of results. Development of user interface designs...

Company: SAP
Posted Date: 19 Feb 2025

Digital IC Design Principal Engineer

, and experienced with state-of-the-art design tools. Work with the physical design teams for synthesis and timing signoff. Work..., Strong Knowledge of logic synthesis and timing analysis. Networking knowledge is a plus. What We're Looking For Master’s degree...

Company: Marvell
Posted Date: 19 Feb 2025

Emulation Engineer

Job Details: Job Description: Builds emulation and FPGA models and solutions from RTL design using synthesis...

Company: Intel
Posted Date: 19 Feb 2025

Pre-silicon Verification Engineer

from highlevel design to synthesis, place and route, timing and power to create a design database that is ready for manufacturing...

Company: Intel
Posted Date: 19 Feb 2025

Mixed Signal Logic Design Engineer

up Automation flows for IP Logic Design, ensuring RTL quality via Front End tools like Lint, CDC, VCLP, Synthesis QA...: Strong scripting skills, experience in working with Front End design tools, Synthesis, Low power design, understanding analog design...

Company: Intel
Posted Date: 19 Feb 2025

Graphics ASIC Design (Sr Staff)- GPU

will be responsible for architecture and micro-architecture design of the ASIC, RTL design and synthesis, logic and timing verification... production Experience with Verilog/VHDL design, Synopsys synthesis, static timing analysis, formal verification, low power...

Company: Qualcomm
Posted Date: 19 Feb 2025

CPU Physical Design Engineer

in related field or a Bachelors Degree with at least 10 years of experience. Technical Expertise in Synthesis, Placement, CTS...

Company: Intel
Posted Date: 19 Feb 2025

SoC Power and Performance Engineer

Engineering with 8+ years of experience in Logic Design, Synthesis and Low Power Design/Implementation for complex multi-million...

Company: Intel
Posted Date: 19 Feb 2025

Structural Design Engineer

in all aspects of IP physical design flow from high-level block design to synthesis, place and route and timing and power convergence... methodology. Logic synthesis of design blocks using Synopsys Design Compiler DCT- Formal Equivalence Verification FEV using...

Company: Intel
Posted Date: 19 Feb 2025

Structural Design Engineer

in all aspects of IP physical design flow from high-level block design to synthesis, place and route and timing and power convergence... methodology. Logic synthesis of design blocks using Synopsys Design Compiler DCT- Formal Equivalence Verification FEV using...

Company: Intel
Posted Date: 19 Feb 2025

CPU Circuit Design Engineer/Lead

of experience. Technical Expertise in synthesis, P and R tools preferred. Preferred Qualifications: 1. Digital Design Experience...

Company: Intel
Posted Date: 19 Feb 2025

GPU SOC Design Engineer

. Running tools to ensure lint-free and CDC/RDC clean design, VCLP. Synthesis and timing constraints. Qualifications...

Company: Intel
Posted Date: 19 Feb 2025

SOC/IP RTL Design Engineer Lead

to synthesis, timing and power to create a design database that is ready for manufacturing. Have thorough understanding of design...

Company: Intel
Posted Date: 19 Feb 2025

SoC FPGA Prototyping Engineer

to develop and optimize FPGA-based prototypes for SoC validation. You will be responsible for FPGA synthesis, timing closure... responsibilities: Build high-performance FPGA prototypes, addressing synthesis, timing, and system constraints. Debug and resolve...

Company: Intel
Posted Date: 19 Feb 2025

ASIC Design and Verification Engineer | 4+ years exp

Build timing constraints for synthesis and STA Work closely with the physical design team to resolve design timing... Strong experience with synthesis, timing analysis and power analysis Perl/Python/Makefiles scripting is strongly preferred Experience...

Company: Cisco Systems
Posted Date: 18 Feb 2025

Sr. Silicon Design Engineer

_ SENIOR SILICON DESIGN ENGINEER THE ROLE: We are looking for an adaptive, self-motivative Synthesis/PD/STA engineer..., and practical experience with Prime Time or equivalent tools Low power digital design and analysis Expertise in synthesis...

Posted Date: 18 Feb 2025

Customer Research and Testing Lead

, conducting and synthesis of qualitative research activities to inform decision making on CX strategy and digital design projects...

Company: HSBC
Posted Date: 16 Feb 2025

Principal Design Engineer

Design, Verification and Synthesis Support. Work to achieve LPDDR6 Controller Inline ECC and Read Datapath Feature’s Optimal...

Posted Date: 16 Feb 2025