Find your dream job now!

Click on Location links to filter by Job Title & Location.
Click on Company links to filter by Company & Location.
For exact match, enclose search terms in "double quotes".

Keywords: Synthesis , Location: Bangalore, Karnataka

Page: 6

ASIC Design and Verification Engineer | 8+ years exp

Build timing constraints for synthesis and STA Work closely with the physical design team to resolve design timing...

Company: Cisco Systems
Posted Date: 08 Feb 2025

Physical Design Principal Engineer

the physical design implementation of advanced semiconductor designs from synthesis to GDSII, ensuring optimal performance..., power, and area (PPA). Driving the Place and Route (PNR) process, including floorplanning, placement, clock tree synthesis...

Company: Marvell
Posted Date: 08 Feb 2025

ASIC Frontend Implementation, CDC/RDC

, synthesis to build efficient System on Chip (SoC) and IP for data center applications. ASIC Frontend Implementation, CDC/RDC.... Run Logic/Physical Synthesis using advanced optimization techniques and generate optimized Gate Level Netlist for Timing...

Company: Meta
Posted Date: 07 Feb 2025

Senior Staff Engineer-Physical Design

's Place and Route Flow, leveraging industry-standard EDA tools. Your tasks will include performing synthesis, place and route...

Company: Marvell
Posted Date: 07 Feb 2025

Senior Engineer

Solutions in the areas of dft and synthesis using Siemens, Cadence or Synopsys EDA tool suite Experience in overall digital... Knowledge in Cadence EDA synthesis and formal equivalence checking (Genus, Conformal) Strong experience in automation...

Posted Date: 07 Feb 2025

Graphic Design Internship in Bangalore at Vitra.ai

translation, text translation, natural language processing, and speech synthesis. Our goal is to be the largest speech synthesis...

Company: Vitra.ai
Posted Date: 07 Feb 2025
Salary: Rs.10000 per month

Architect Digital Design

(CDC, test readiness), verification methods (formal / simulation), physical design (synthesis, timing/power optimisation...

Company: onsemi
Posted Date: 06 Feb 2025

Architect Digital Design

(CDC, test readiness), verification methods (formal / simulation), physical design (synthesis, timing/power optimisation...

Company: onsemi
Posted Date: 06 Feb 2025

Application Support Specialist

- Strong analytical and synthesis skills, with the ability to write technical documents - Proactive, a great team player...

Company: Egis Group
Posted Date: 04 Feb 2025

RTL Power design engineer

of PTPX Good knowledge of CLP Knowledge of design verification, RTL coding, synthesis, and physical design Protocol...

Company: Qualcomm
Posted Date: 04 Feb 2025

Design for Testability - DFT

. - Shall Validate the DFT implementation using RTL and Gate level simulation. - Work with Multi-functional Teams on STA, Synthesis...

Posted Date: 04 Feb 2025

Infra Systems Architect-PE

convergence cycle in terms of architecture, micro-architecture, synthesis, timing closure and verification Good Understanding...

Company: Qualcomm
Posted Date: 04 Feb 2025

ASIC Engineer, Physical Design

-aware logic synthesis, floorplan, place and route, clock tree synthesis, static timing analysis, IR drop, EM, and physical...

Company: Meta
Posted Date: 03 Feb 2025

Graphics ASIC RTL Design Engineer- Sr Engineer /Sr Lead / Staff / Sr Staff

will be responsible for architecture and micro-architecture design of the ASIC, RTL design and synthesis, logic and timing verification... production Experience with Verilog/VHDL design, Synopsys synthesis, static timing analysis, formal verification, low power...

Company: Qualcomm
Posted Date: 03 Feb 2025

Lead Member Technical Staff

of various pieces of the RTL synthesis technology, logic optimizations and low power synthesis. Experience and Qualifications... in RTL synthesis tool development. Knowledge of Verilog, VHDL, and formal verification. Expertise in RTL and gate-level...

Company: Siemens
Posted Date: 02 Feb 2025

Lead Member Technical Staff

of various pieces of the RTL synthesis technology, logic optimizations and low power synthesis. Experience and Qualifications... in RTL synthesis tool development. Knowledge of Verilog, VHDL, and formal verification. Expertise in RTL and gate-level...

Company: Siemens
Posted Date: 02 Feb 2025

Wireless R&D IP Design Engineer -Sr

). Other requirements are : Exposure to synthesis & STA Low power and high speed design awareness Knowledge on design flow, industry...

Company: Qualcomm
Posted Date: 01 Feb 2025

Low power design (DSP) - Sr Lead

UPF for DSP IPs based on power spec Power intent validation at RTL level , Gate level (synthesis , PD ) using CLP Fixing... during synthesis and modifying UPF accordingly Dynamic and Leakage power projection of DSP IPs during starting of the project Dynamic...

Company: Qualcomm
Posted Date: 31 Jan 2025

IN-Senior Associate_ GTM_Strategy&_ Advisory- Gurgaon/Mumbai/Bangalore

analyses, including the timely synthesis of complex data into meaningful insights, and the ability to readily grasp analytical...

Company: PwC
Posted Date: 31 Jan 2025

RTL(Power) Design Engineer/DSP -Sr Staff Engineer/Manager

UPF for DSP IPs based on power spec Power intent validation at RTL level , Gate level (synthesis , PD ) using CLP Fixing... during synthesis and modifying UPF accordingly Dynamic and Leakage power projection of DSP IPs during starting of the project Dynamic...

Company: Qualcomm
Posted Date: 30 Jan 2025